freedom/fpga/e300artydevkit/constrs/arty-config.xdc

6 lines
129 B
Plaintext
Raw Normal View History

2016-11-29 14:23:11 +01:00
set_property -dict [list \
CONFIG_VOLTAGE {3.3} \
CFGBVS {VCCO} \
BITSTREAM.CONFIG.SPI_BUSWIDTH {4} \
] [current_design]